Archived

This forum has been archived. Please start a new discussion on GitHub.

a strange problem about slice

hi,
Did anyone meet such a strange problem?
I have a slice definition file, in which include some constant definitions, the definition looks like
the following:
const string cnstLabel = "1.3.6";

I complie the slice file with the following:
slice2cpp cwng.ice

The 'cnstLabel' in the generated file is translated to a strange value:
const ::std::string cnstLabel = "1\0463\0466";

BTW, I use VC6 on windows 2000, ICE 2.1.0.

thanks,
Kemp

Comments